Fpga vhdl trabalhos

Filtro

Minhas pesquisas recentes
Filtrar por:
Orçamento
para
para
para
Tipo
Habilidades
Idiomas
    Estado do Trabalho
    2,000 fpga vhdl trabalhos encontrados, preços em USD
    ALU and Memory Encerrado left

    I am seeking assistance with designing both an ALU and memory modules for my project. I require help with both coding and design aspects. Specific tasks for this project include: - Designing ...assistance with designing both an ALU and memory modules for my project. I require help with both coding and design aspects. Specific tasks for this project include: - Designing an ALU - Designing memory modules Ideal skills and experience for this job include: - Proficiency in VHDL programming language - Strong knowledge and understanding of ALU and memory module design - Experience in both coding and design aspects of similar projects If you have experience in designing ALU and memory modules and are proficient in VHDL programming language, I would like to discuss this project ...

    $103 (Avg Bid)
    $103 Média
    23 ofertas

    ***PROJECT ONLY FOR EXPERIENCED DEVS*** Hello, I am looking for skilled programmers who can program LimeSDR Mini v2.2 . The goal is to make the LSM7002M pair with other LSM7002M and exchange...other LSM7002M and exchange data in the following ways: (I) Modulation – AM, FM, 4FSK (DMR) without AES (ii) AM, FM, 4FSK (DMR) with (AES 256 bits) (iii) Narrowband Waveform (25 kHz) for voice communication (iv) Data Rate atleast 1 Mbps for transfer of text messages,image transfer etc. (v) Interfacing the FPGA with external microcontroller (BL618/Equivalent). (vi) Making the FPGA getting into sleep mode during non operation and wake up on interupt from the external microcontroller. The FPGA will receive data from the external microcontroller which is linked with micro...

    $343 (Avg Bid)
    $343 Média
    5 ofertas

    I am looking for a freelancer who can design an SDI HDMI system using Xilinx and Artix FPGA Device. The project requires the following: - The desired output resolution for the SDI HDMI design is HD-SDI and 3G-SDI. - The client specifically wants to use an Artix FPGA Device for the design. - The key functionality required for the design is video processing. Ideal Skills and Experience: - Proficiency in Xilinx and FPGA design. - Experience in designing SDI HDMI systems. - Strong knowledge of video processing technologies. - Familiarity with Artix FPGA Devices. If you have the necessary skills and experience, please bid on the project.

    $473 (Avg Bid)
    $473 Média
    11 ofertas

    I am looking for someone who can provide me with an FPGA project in Verilog within a day, which should include the use of peripherals. Here are the details: Specific Peripherals: - UART - SPI - I2C Requirements and Constraints: - No specific requirements or constraints for the FPGA project Target Application: - Any application, such as data processing, signal processing, or control systems Ideal Skills and Experience: - Proficiency in Verilog and FPGA development - Experience with integrating peripherals into FPGA projects - Knowledge of UART, SPI, and I2C protocols If you have a Verilog FPGA project that includes the use of peripherals, please reach out to me. Thank you!

    $53 (Avg Bid)
    $53 Média
    11 ofertas

    I am in need of an intermediate FPGA VHDL designer who can assist me with designing a small module. This project requires someone with experience and expertise in VHDL programming for FPGAs. Skills and Experience: - Proficiency in VHDL programming for FPGAs - Experience with designing small modules - Strong understanding of FPGA architecture and design principles The project has a tight deadline, with completion expected within the next 1-2 weeks. Therefore, it is important for the freelancer to be able to work efficiently and deliver high-quality work within this timeframe. If you have the necessary skills and experience in FPGA VHDL design, and are able to meet the project requirements within the specified timeframe, I encourage you t...

    $162 (Avg Bid)
    $162 Média
    20 ofertas

    I am looking for someone to program an FPGA to execute a maximum ratio combining algorithm. The input signal format I need is digital, so there will be no analog signal involved. I don’t have specific FPGA model in mind, so I am open to any model that can work well with this project. I need the project to lead to a prototype outcome so I have a working model to then be able to make other changes as needed.

    $541 (Avg Bid)
    $541 Média
    12 ofertas
    DMA logger Encerrado left

    design of a VHDL synthesizable module to implement data exchange between firmware and software on a KRIA SOM module

    $428 (Avg Bid)
    $428 Média
    14 ofertas

    I'm looking for an experienced engineer to reprogram my Intel Cyclone V FPGA with existing code to enable it to perform signal processing functions. The code itself is already written and I'd like the engineer to take that code and use it to program my FPGA. This project requires an in-depth knowledge of FPGA technology and experience with the reprogramming process. I'm confident that the right person can complete this task effectively and efficiently. If you believe you are up to the challenge, I would love to hear from you and discuss the details of the project.

    $58 / hr (Avg Bid)
    ADC
    $58 / hr Média
    5 ofertas
    program FPGA Encerrado left

    I am looking for someone with a programmer to program an Actel APA300PQ208I FPGA. I have the program but do not have the required programmer. If you have the correct programmer and are familiar with this device, I look forward to viewing your proposal.

    $506 (Avg Bid)
    $506 Média
    15 ofertas

    High performance Digital Filter design . 1. VLSI implementation of Filter architecture. 2. Implementation of Filter architecture for all DSP functions on FPGA 3. Enhance the speed of Filter architecture using different technique and implementation on FPGA. 4 Reduce complexity, area, power consumption of filter architecture and implement on FPGA. 5 Minimum utilization of Look up Table, Flip Flops, slices etc for FPGA design of filter. 6) IP diagram 7) RTL design & Wave form for same 8) Fault Findings ( if possible and if any ) 9) If any other parameters which you can add to demonstrate results

    $554 (Avg Bid)
    $554 Média
    12 ofertas

    I am looking to hire a freelancer to design an FPGA function generator using a Altera MAX 10 FPGA, 10M08SAE144C8G that produces a frequency of 10 MHz and above. The desired waveforms are sine, square, and triangle. This function generator should also have a single channel. If you think you have the skills to help me with this project, feel free to bid on it. Thank you!

    $112 (Avg Bid)
    $112 Média
    5 ofertas

    I need help with the implementation of SHA 512 on an FPGA platform. I prefer to use the Xilinx platform, and I would like the programming language to be Verilog. I need the project to be completed in 2-3 days. I know this is a short timeline but I'm confident that with the right expert, it can be done. Please let me know if you have any questions or require more information.

    $417 (Avg Bid)
    $417 Média
    5 ofertas
    Beer-pong game Encerrado left

    For this project, am looking to create a system design for the game Beer-Pong using a combination of BASYS 3 and additional components compatible with BASYS 3 and VHDL. I want the system design to have a basic level of complexity with a specified deadline of 3 weeks for completion. Different components like switches, LEDs and sensors. The aim of this project is to design a system for the game Beer-Pong using BASYS 3 and components that are compatible with BASYS 3. In this project, we will be able to play advanced beer- pong. Our setup will consist of 6 cups in total, and the aim is to throw three balls out of 5 into adjacent 3 cups Balls must perform a straight line). Thus, at that point, our game differs from the classical game. Positions of the cups can be adjusted since the playe...

    $160 (Avg Bid)
    $160 Média
    13 ofertas

    ...assist me with an Axi Ethernet 1G base project for Xilinx KCU116, which is a Kintex Ultrascale. Project MUST NOT CONTAIN Microblaze, only soldi VHDL code. Target Device: Target device is Kintex Ultrascale+, as KCU116 board will be the target device for the project. Intended Functionality: The main objective of this project is to develop a networking solution using Ethernet. The freelancer should be experienced in VHDL developments and in networking functionalities for Xilinx KCU116. Specific Requirements: - 1/2.5GB Axi Ethernet Core (not provided, but free trial is available by Xilinx) based - 1Gb speed required - No Microblaze or MPSoC, only VHDL code. - Configuration must be done by AXI-Lite bus (No Configuration vector) - Ethernet frames must be sent by AXI-St...

    $384 (Avg Bid)
    $384 Média
    5 ofertas

    For this project, I am looking to create a system design for the game Beer-Pong using a combination of BASYS 3 and additional components compatible with BASYS 3 and VHDL. I want the system design to have a basic level of complexity with a specified deadline of 3 weeks for completion. Different components like switches, LEDs and sensors. The aim of this project is to design a system for the game Beer-Pong using BASYS 3 and components that are compatible with BASYS 3. In this project, we will be able to play advanced beer- pong. Our setup will consist of 6 cups in total, and the aim is to throw three balls out of 5 into adjacent 3 cups ( Balls must perform a straight line). Thus, at that point, our game differs from the classical game. Positions of the cups can be adjusted since...

    $65 (Avg Bid)
    $65 Média
    6 ofertas

    Create a simple yet effective Smart Home Energy Monitor using your expertise in electrical engineering and FPGA/VHDL. This project aims to help working individuals monitor and optimize their home energy consumption. It can be both fun and practical.

    $105 (Avg Bid)
    $105 Média
    7 ofertas

    ...ASR 1004 rp2 Software and Romon,cpld,fpga Update Description: I am looking for a skilled professional who can assist me in updating the software and components of my Cisco ASR 1004 rp2 device. The main focus of this project is to update the software, Romon, cpld, and fpga. Current Software Version: I am not sure, please check for me. Specific Features/Fixes: I am not sure, please recommend. I am open to suggestions on the latest version and any specific features or fixes that would enhance the performance and functionality of my device. Backup: Yes, I have a backup of my current configuration and data. Ideal Skills and Experience: - Strong knowledge and experience with Cisco ASR 1004 rp2 devices - Expertise in updating software, Romon, cpld, and fpga componen...

    $139 (Avg Bid)
    $139 Média
    8 ofertas

    I am looking for a skilled FPGA designer with experience in Xilinx Zynq7010 EBAZ Verilog to develop a permutation enumeration counter. The counter should have the following functionality and requirements: Functionality: - The counter should be able to increment indefinitely. - The counter shall initialize to the starting position on reset. - The counter shall toggle a minimum number of bits, like Grey Code. Requirements: - The project should be implemented using Verilog for Xilinx Zynq7010 EBAZ board. The Verilog function shall be parameterized PermCtr(K, N) - The counter should be designed to efficiently handle permutation enumeration. - The design should be optimized for performance and resource utilization. - If possible, I would like the counter to only enumerate the space be...

    $191 (Avg Bid)
    $191 Média
    15 ofertas

    We are currectly looking for support to write a simple motor model in VHDL, that will be used to test our motor controller in FPGA. The motor model shall be as simple as possible and an equivalent Matlab/Octave/Python/C/C++ model would be desiderable and used to validate the VHDL model

    $192 (Avg Bid)
    $192 Média
    11 ofertas

    Project Title: Accelerometer control Platform: FPGA Skills and Experience: - Proficiency in working with FPGA platforms - Strong understanding of accelerometer technology and control - Previous experience in developing projects using accelerometers Project Requirements: - Develop an accelerometer control system using an FPGA platform - The main function of the system should be orientation detection - Successful freelancers should include their past work showcasing their experience in working with accelerometers - Detailed project proposals are not necessary, but a clear understanding of the project requirements and the ability to meet deadlines is crucial Deliverables: - A fully functional accelerometer control system that accurately detects orientation - Documenta...

    $132 (Avg Bid)
    $132 Média
    7 ofertas

    requiring the design of a VHDL synthesizable module to interface an incremental encoder. This is generic ABZ quadrature decoder, some code woulc also be found on the net already, that requires integration and testing () The encoder is the Z is for homing (it marks the zero position of the encoder) specs and deliverables in the attached document

    $198 (Avg Bid)
    $198 Média
    8 ofertas

    I am looking for a skilled freelancer to implement the JESD protocol on an FPGA device. The desired data rate for the implementation is 1 Gbps. Skills and Experience: - Experience in implementing the JESD protocol - Proficiency in FPGA development - Knowledge of high-speed data transfer and communication protocols Project Timeline: - The deadline for this project is within 1-2 weeks. Please provide examples of previous work and relevant experience when submitting your proposal.

    $23692 (Avg Bid)
    $23692 Média
    2 ofertas

    I am looking to write and read memory from an EEPROM with a FPGA. The desired size for the EEPROM is 32kb and I need to use I2C as the communication protocol. I have a specified model for the FPGA, Cyclone IV. The project should also include the development of software code for the FPGA to control the EEPROM operations.

    $24 (Avg Bid)
    $24 Média
    7 ofertas

    I am in need of an expert with FPGA Verilog/VHDL experience in Xilinx. The project requires advanced programming skills in Verilog/VHDL and must be completed within a week. You should have a Xilinx board to work on this project. Tasks: - Programming in Verilog/VHDL Ideal Skills and Experience: - Expertise in FPGA Verilog/VHDL - Strong knowledge of Xilinx - Advanced programming skills in Verilog/VHDL Deadline: - Within a week

    $171 (Avg Bid)
    $171 Média
    11 ofertas

    I am looking for experts in Xilinx VHDL to be a part of my team and work with me on various projects. I need dedicated experts who can work in tight deadlines too I would encourage new freelancers too to place bid and have a communication with me

    $194 (Avg Bid)
    $194 Média
    5 ofertas

    I am looking for experts in Xilinx VHDL to be a part of my team and work with me on various projects. I need dedicated experts who can work in tight deadlines too I would encourage new freelancers too to place bid and have a communication with me

    $23 / hr (Avg Bid)
    $23 / hr Média
    8 ofertas

    I am looking for a freelancer who can develop a VHDL test pattern generator with the following requirements: Configurable output order configurable pattern configurable pixel per clock test in simulation only

    $189 (Avg Bid)
    $189 Média
    13 ofertas

    hi, i'm searching for an experienced in Matlab and VHDL i have a code written in matlab and it's calling some functions that also written in matlab and i want to convert the code into VHDL if you can convert it please bid on this project

    $7 / hr (Avg Bid)
    $7 / hr Média
    13 ofertas

    I have an FPGA carrier board and I am interested in someone to review it and make any suggestions for improvement. This is a carrier board for an Alinx FPGA core board. It is basically a version of Alinx's carrier board with all the parts I don't need removed. The board has 10G Ethernet via an SFP, Gigabit copper Ethernet, USB 2.0, and a few minor things. I developed this board because I need to generate the SFP clock differently, and the off-the-shelf board cannot accommodate the change. I stuck closely to Alinx's design for maximum compatibility with our current usage of the Alinx board, so this request concerns not the parts but the PCB layout. It is a 4-layer design in KiCad. I tried to take care with impedance and layout, but a more experience set of eyes...

    $145 (Avg Bid)
    $145 Média
    14 ofertas

    Hello I have a project written in Matlab, I need to convert this code to VHDL by using Vivado.

    $149 (Avg Bid)
    $149 Média
    24 ofertas

    I am seeking an experienced professional to help with a project involving Xilinx FPGA development. I am using the Xilinx Zynq-7000 board and the main function of the board in my project is to process data. I need help with both hardware design and software development for this project. The qualified candidate should have significant expertise in hardware design and software development for FPGA systems. Excellent knowledge of C/C++ and VHDL for FPGA design/programming is also necessary. The candidate should be able to understand and utilize various types of FPGA peripherals and interfaces including, but not limited to, SPI, I2C, UART and Ethernet. Working knowledge of the Zynq-7000 series FPGA board and its associated software/tools (e.g. the Xilin...

    $15901 (Avg Bid)
    $15901 Média
    13 ofertas

    I want to implement the Ethernet connection between FPGA board to PC. The deliverables are as follows - Verilog code to run on a Spartan 6 Board - (xc6slx100) - Simulation time diagrams (more details will be given to the winner) - The code should be able to transmit and receive data at 1000mbs.

    $370 (Avg Bid)
    $370 Média
    15 ofertas

    - A report of minimum 6 double-column pages for the case study It should follow the IEEE Template (). - Select a research problem in FPGA - Do a literature review. - Perform implementation of at least one paper (if any) - Discuss the available solutions to the problem - Propose a novel solution to the problem (if any)

    $63 (Avg Bid)
    $63 Média
    8 ofertas
    VHDL PRograming Encerrado left

    I am looking for an experienced VHDL programmer to help with a complex project. The timeline for this project is within a week, so it will require an experienced programmer who is able to work very quickly. I have detailed requirements that need to be satisfied, and I will be expecting a high-quality result. The project is an advanced level project, so I need someone who is comfortable working with complex VHDL code. If you think you can complete this project in the timeline given, please message me!

    $24 (Avg Bid)
    $24 Média
    7 ofertas

    Project Description: Can you help me with a mini spectrum analyzer using FPGA the results will be on a pc screen with GUI that the user can put markers on and change the resolution, to make a spectrum analyzer with FFT algorithm on FPGA Using UI the user can control the span a put markers. The user will be able to change parameters in a user interface. The ADC is the sampling. The FPGA is going to calculate the FFT. The all idea is to mini spectrum analyzer (the measurement device). Block chain 1. ADC for sampling 2. FPGA to calculate the FFT and sent it to the pc display

    $160 (Avg Bid)
    $160 Média
    7 ofertas

    Project Title: FPGA Xilinx Spartan 7 for Digital Signal Processing Application Skills and Experience: - Proficiency in FPGA development using Xilinx Spartan 7 - Strong background in digital signal processing - Experience in writing HDL code from scratch - Familiarity with FPGA design tools and methodologies Project Description: We are looking for a skilled FPGA developer with expertise in Xilinx Spartan 7 to assist us with a digital signal processing project. The main application of the FPGA will be digital signal processing, specifically in the area of [insert specific application]. Requirements: - Develop FPGA design using Xilinx Spartan 7 for digital signal processing application - Write HDL code from scratch based on project requirements - I...

    $1277 (Avg Bid)
    $1277 Média
    13 ofertas

    I am looking for a freelancer to help with hardware verification. Specifically, I would like someone to verify a VHDL model od SHA3 in SystemVerilog using the UVM (Universal Verification Methodology). This project will also require executing fewer than 10 verification test cases. I have semi-built UVM template to send.

    $44 (Avg Bid)
    $44 Média
    7 ofertas

    My experience with deploying Caffe networks on FPGA boards is intermediate. I have prior experience with the Lattice Radiant software so I am ready to use it for this project. My specific task or outcome I want to achieve with this deployment is Image Classification using the ICE40UP5K FPGA with the iCE40 UltraPlus Breakout Board and Lattice Radiant software.

    $4 / hr (Avg Bid)
    $4 / hr Média
    3 ofertas

    My experience with deploying Caffe networks on FPGA boards is intermediate. I have prior experience with the Lattice Radiant software so I am ready to use it for this project. My specific task or outcome I want to achieve with this deployment is Image Classification using the ICE40UP5K FPGA with the iCE40 UltraPlus Breakout Board and Lattice Radiant software. Details: 1, I already built a caffe network, just need to deploy it 2, the input of the caffe network is supposed to be an image but right now the input of the caffe network are 32x32 matrix and output will be 1 or 0. I want to test that with deploy the model on ICE40UP5K 3, I will provide the network file, and the final result will be a project file and can use my caffe network, have hardcode a 32x32 matrix and output ...

    $177 (Avg Bid)
    $177 Média
    4 ofertas
    fpga programming Encerrado left

    My project is about FPGA programming for control systems. I'm using the Altera Cyclone V board and the preferred programming language is Verilog. This project requires someone with experience in FPGA programming and the design of embedded systems. The programmer should be able to develop design flows for FPGA devices, debug them and modify existing designs for better performance. The knowledge of hardware description languages such as VHDL and Verilog is crucial, as they will be used for implementation and testing of the designs. Additionally, some knowledge of microcontrollers and communication protocols will be required. The right person for this job should have strong problem-solving skills, excellent coding and debugging capabilities, and a deep underst...

    $167 (Avg Bid)
    $167 Média
    22 ofertas

    I am in need of an experienced and professional digital circuit designer to undertake a project involving Verilog coding, RTL verification, and FPGA implementation. Specifically, I need the Verilog coding to be at the intermediate level and it must meet specific requirements. The scope of this project is just Verilog coding running though Xilinx Vivado IDE. The successful applicant must have a good understanding of design flows to be implemented in Verilog, including synthesis and simulation techniques, as well as a thorough knowledge of all aspects of Verilog coding and digital circuit design. Experience with RTL verification and FPGA implementation will also be beneficial for this role. Ultimately, I am seeking an individual who is able to accurately analyze the specificati...

    $107 (Avg Bid)
    $107 Média
    18 ofertas

    Project Title: Altera DE0 Board Programming Assistance Description: I am seeking a freelancer who can provide programming assistance for my Altera DE0 board project. I require expertise in VHDL programming language and the ability to modify existing code as well as start from scratch. Skills and Experience: The ideal candidate for this project should have: - Proficiency in VHDL programming language - Experience with Altera DE0 board - Strong troubleshooting and debugging skills - Knowledge of hardware design consultation Specific requirements: - Provide programming assistance for the Altera DE0 board - Modify existing code and develop new code from scratch - Troubleshoot and debug any issues that arise during the programming process - Provide hardware design consultation a...

    $36 (Avg Bid)
    $36 Média
    8 ofertas

    I am looking for a skilled programmer to work on the video processing project involving the GS2962 and GS2961 ICs. Programming Language: - Proficiency in VHDL programming language is required for the IC programming. Functionality: - The primary function of the programmed ICs should be video processing. Hardware Compatibility: - The IC programming should be compatible with specific hardware that I have specified. Ideal Skills and Experience: - Strong knowledge and experience in programming with VHDL language. - Expertise in video processing and working with ICs. - Familiarity with GS2962 and GS2961 ICs. - Ability to ensure compatibility with specified hardware. Please provide examples of previous projects related to video processing and IC programming when submittin...

    $318 (Avg Bid)
    $318 Média
    4 ofertas
    Ironfish miner help Encerrado left

    I am looking for someone to help me with the installation and setup of the Ironfish miner on my Windows operating system. I will use FPGA or GPU solution of teamredminer. As a beginner in cryptocurrency mining, I am in need of assistance from someone with experience in this field. Some of the skills and experience ideal for this job include: - Proficiency in installing and setting up mining software - Knowledge of the Ironfish miner and its specific requirements - Understanding of Windows operating system and its compatibility with mining software - Patience and ability to explain the process to a beginner in a clear and concise manner - Troubleshooting and debugging skills to address any issues that may arise during the installation and setup process If you have previous experien...

    $23 / hr (Avg Bid)
    $23 / hr Média
    9 ofertas

    I need someone to help boot petalinux

    $25 / hr (Avg Bid)
    $25 / hr Média
    6 ofertas

    We are searching for support on debug test and addition of a couple of features to a project we developed last year The board is a synchronous (with GPS PPS signal) acquisition from 7 ADCs. The clock is generated internally from a PLL, locked to the PPS. Lattice ECP5 on a custom board There are 2 external interfaces to an IMx6 SPIM: Spi Slave, the Master is a Imx6 processor, which is used to write and read internal registers and ADC’s registers. Details on the protocol in the FDD SPIS: Si Master, the data acquired from the ADCs are packed and sent to the external IMx6 Modifications ADD MEMS Accelerometer, ADXL355 channel modify the data stream, adding 8th channel. A review of protocol might be needed ADD Registers to control DAC and discretes ADD DAC. We can share a DDS to generate ...

    $452 (Avg Bid)
    $452 Média
    19 ofertas

    I am looking for a skilled ARM embedded freelancer to assist with ...of the Embedded System: - Personal project Hardware and Software Requirements: - I have specific requirements Ideal Skills and Experience: • Good grasp of fundamentals in Electronics Engineering, • Knowledge of digital electronics, VLSI, microprocessor architecture is a plus • Interest and experience in digital design and verification • Good understanding of Assembly-level programming, Verilog/VHDL • Proficient in C/C++, and scripting languages - Strong knowledge and experience in ARM microcontroller programming - Proficiency in real-time operating system (RTOS) development - Expertise in embedded system design and implementation - Familiarity with the specific hardware and software req...

    $28 (Avg Bid)
    $28 Média
    8 ofertas

    I am looking for a skilled VS code extension developer who can create a language support extension for VHDL/Tcl. The ideal candidate should have experience in developing VS code extensions and have a strong understanding of external tasks. Requirements: - Proficiency in developing VS code extensions - Familiarity with the VS code extension development process - Ability to create a language support extension We have a language support extension already, that support VHDL and Tcl programming language. we need support to add tasks to the extension, to call external scripts. more details in following communications

    $115 (Avg Bid)
    $115 Média
    12 ofertas

    ...connection via 10/100 M port 4) Audio processing to filter unnecessary noise from Microphone 5) IPv4 / IPv6 based routing for minimum 9 nodes (communication between atleast 9 C3/S3 boards via ethernet/wifi).Important one- will tell in detail via chat. 6) Getting the chip into low power consumption mode. Note: The ESP32-S3/C3 will be interfaced to an FPGA via SDIO interface. So,the software should be easy to read and use. So,that the FPGA guy can interface the chipset with less trouble. Library for the GNSS/other modules may not be freelancer should take care of them. Datasheet and other technical details will be provided. More details will be given via chat. Circuit design etc.. Please note that all these can't be achieved via Arduino. So,I am looking for s...

    $170 (Avg Bid)
    $170 Média
    5 ofertas
    Verilog fpga Encerrado left

    I am looking for an experienced Verilog FPGA specialist to develop a reliable and efficient code for me. I do not have a specific functionality in mind, but I do need the code to have a clock frequency of less than 100MHz and fewer than 10 inputs and outputs. I would also like to ensure that the code is reliable and bug-free. If you have the expertise and skill set to deliver a high-quality solution, please contact me. I look forward to hearing from you!

    $27 / hr (Avg Bid)
    ADC
    $27 / hr Média
    19 ofertas