Cyclon vhdl project trabalhos

Filtro

Minhas pesquisas recentes
Filtrar por:
Orçamento
para
para
para
Tipo
Habilidades
Idiomas
    Estado do Trabalho
    2,000 cyclon vhdl project trabalhos encontrados, preços em USD

    Deadline is in 2 days Details will be trough the chat Please bid and I'll get back to u Thanks

    $30 (Avg Bid)
    $30 Média
    9 ofertas
    VHDL and FPGA Expert Encerrado left

    Need a VHDL and FPGA Systems expert 1. To create a modular system using VHDL. 2. To use simulation and test to verify the correctness of the design. 3. To demonstrate the milestones working on a target FPGA device. 4. To document the entire design process - recording the technical detail and justification of the work done. Detailed document will be provided on chat

    $151 (Avg Bid)
    $151 Média
    12 ofertas

    Implementar, simular FFT en entorno xilinx o alguna plataforma similar , bajo la plataforma Atlys Spartan-6. Simular e implementar FFT en dicha plataforma, desarrollar código VHDL y detallar minuciosamente paso a paso, tomar captures y realizar documento de word detallando cada paso la oferta es de 90 usdt. Se cuenta con la tarjeta en físico por lo cual se ofrece conexión remota, ante cualquier duda estoy abierto a conversar

    $180 (Avg Bid)
    $180 Média
    5 ofertas

    i have attached the specifics of the project. need to be finished by mid november

    $487 (Avg Bid)
    $487 Média
    27 ofertas
    VHDL Expert Required Encerrado left

    VHDL Expert Required Now Urgently

    $23 (Avg Bid)
    $23 Média
    9 ofertas

    I want to design and implement a 6-bit division circuits for unsigned numbers using VHDL in the Xilinx software.

    $120 (Avg Bid)
    $120 Média
    14 ofertas

    Implementar, simular FFT en entorno aldec , bajo la plataforma Atlys Spartan-6. Simular e implementar FFT en dicha plataforma, desarrollar código VHDL y detallar minuciosamente paso a paso, tomar captures y realizar documento de word detallando cada paso la oferta es de 90 usdt. Se cuenta con la tarjeta en físico por lo cual se ofrece conexión remota

    $182 (Avg Bid)
    $182 Média
    4 ofertas

    VHDL test procedure and test bench implementation

    $306 (Avg Bid)
    $306 Média
    5 ofertas
    VHDL designer -- 2 Encerrado left

    ARINC429 frame decoding on Xilinx spartan 6 or 7 FPGA based platform

    $351 (Avg Bid)
    $351 Média
    9 ofertas
    VHDL designer Encerrado left

    ARINC429 frame decoding on Xilinx spartan 6 or 7 FPGA based platform

    $347 (Avg Bid)
    $347 Média
    5 ofertas

    Need to convert MATLAB code to synthesizable VHDL code. I am using DE2 FPGA board for testing

    $80 (Avg Bid)
    $80 Média
    8 ofertas

    -Write a VHDL file for an 8-bit counter with active-LOW asynchronous clear, active-HIGH synchronous load, active-HIGH count enable, and a directional input that makes the circuit count up when DIRECTION = 1 and down when DIRECTION = 0. - Write a set of simulation criteria that verifies the operation of the counter. The simulation must contain one complete cycle of the counter and test all functions. It must show that the synchronous load really is synchronous and that the clear has precedence over load, which in turn has precedence over count enable. -Write a VHDL file for a two-digit BCD counter with active-LOW asynchronous clear, active- HIGH synchronous load, and an active-HIGH count enable. -The counter must count up from 00 to 09, then 10 to 19, and so on until it reache...

    $27 (Avg Bid)
    $27 Média
    12 ofertas

    Need to Convert MATLAB code to VHDL code. I Have a MATLAB code i want someone who can convert that code to a sytnthesizable VHDL code for ALtera FPGA.

    $75 (Avg Bid)
    $75 Média
    7 ofertas

    I will love to chat with you about my project. Please let me know when you can https://www.freelancer.com/projects/verilog-vhdl/FPGA-expert-34634495/details

    $10 (Avg Bid)
    $10 Média
    1 ofertas

    I will love to chat with you about my project. Please let me know when you can https://www.freelancer.com/projects/verilog-vhdl/FPGA-expert-34634495/details

    $10 (Avg Bid)
    $10 Média
    1 ofertas

    I have a project i want to talk to you about https://www.freelancer.com/projects/verilog-vhdl/FPGA-expert-34634495/details Please let me know when you have time to chat

    $10 (Avg Bid)
    $10 Média
    1 ofertas

    I have a MATLAB code and want this to be converted to HDL code using HDL Coder feature available in MATLAB. I have attached the error what i am getting currently

    $153 (Avg Bid)
    $153 Média
    6 ofertas
    ASIC designer -- 3 Encerrado left

    One of the most prestigious companies in the field of ASIC Design is looking for a talented Digital ASIC Designer, especially in the field of artificial intelligence algorithms. Required capabilities and skills are as follows: *Holding a bachelor or master's degree in electronics *Having adequate knowledge of digital design *Proficient in digital flow *Familiar with Verilog, VHDL languages *Experience with EDA tools from Cadence, Mentor, and Synopsys(SOC design & encounter) *Experienced in Transform specification from RTL to silicon CMOS circuitry *Ability to analyze designed circuits and optimizing them *Proficiency in problem solving *Ability to interact and collaborate with R&D colleagues *Experience with tapeout is preferred.

    $9516 (Avg Bid)
    $9516 Média
    6 ofertas

    Using Pynq Z2 FPGA to connect a camera (OV7670 - CMOS Sensor), and then display the video on a monitor through HDMI output. The Project is built using VHDL language and IP blocks. The purpose of this it's to build also nurual network to recognize a face/person so the camera can follow the object using servo motor.

    $660 (Avg Bid)
    $660 Média
    16 ofertas
    vhdl expert Encerrado left

    I want Signal processing and VHDL(Quartus Application) expert.

    $20 / hr (Avg Bid)
    $20 / hr Média
    13 ofertas
    5G RAN FPGA Design Encerrado left

    We have an internal project for 5G RAN FPGA design for DFE products: Skills: Job Description- Senior MTS RTL design 5G Product( 2 positions) · Candidate must have at least Bachelors or Masters EE - FPGA design experience (RTL Coding, comms, DFE(DPD, DUC, DDC, FFT, FIR, CFR) · Candidate must have verifiable experience for a minimum 6 years as a Verilog/System Verilog/ VHDL/RTL programmer with extensive Verification test bench development experience · Preferred prior project experience in 5G ORAN - RU/DU. DSP knowledge Matlab modeling is preferred. · eCPRI experience preferred . Special consideration will be given to those who have experience as 100G Ethernet or 10G Ethernet , IEEE 1588 · Knowledge of Queuing theory · To...

    $20 / hr (Avg Bid)
    $20 / hr Média
    6 ofertas

    1.VHDL code for SPI master to send data to a GPU. project setup for the customer's terasic FPGA board. assignment. demonstration of contents via zoom meeting. I will try to complete the project before the specified end date.

    $200 (Avg Bid)
    $200 Média
    1 ofertas
    SOC Verification Encerrado left

    ...verification, preferably baseband/ controller side 2. Experience in Industry standard protocols ARM Interconnects(AHB, APB), SPI, UART, I2C, DMA, Serial Flash, Security and Encryption. 3. Full chip SoC (C and SV based), Subsystem and Block/IP level verification. Test Bench generation with ability to run embedded C programs. Must have experience of 2-3 SoC verification. 4. Experience in HDL(Verilog, VHDL) and HVL(System Verilog, Specman) based functional verification. Experience in code coverage. 5. Experience in Verification methodologies(UVM, OVM and eRM). language simulation (Verilog-AMS, SystemVerilog). 7. Experience in Mentor, Cadence and Synopsys simulators. 8. Build automated Test bench and regression environments from a scratch. Should be able to write a test plan and gen...

    $1533 (Avg Bid)
    $1533 Média
    3 ofertas

    We need a project done in Morse code encoder and decoder in VHDL. Our project contains 2 parts a transmitter and receiver. The transmitter part receives the text(ASCII) from the PC(user) via UART receiver and transmits the text to morse code encoder(converts text to morse code). The morse code pattern then is sent to an led. Dot(.) corresponds to LED on and dash(-) LED off. The receiver part has a photo diode which reads the blinking of the led(morse code) and data is transmits to Morse decoder where it is converted back to ASCII. The converted ASCII is then transmitted to end user PC for display. We have already designed the top level top level block diagram. we now need the source codes(entity and architecture) for the blocks and test benches for all blocks for simul...

    $27 (Avg Bid)
    $27 Média
    7 ofertas

    STM32 toolchain and also vhdl design with report describing the procedures

    $38 (Avg Bid)
    $38 Média
    4 ofertas
    quartus and modulsim Encerrado left

    i want some vhdl coding simulating with test bench on modulsim and a report

    $68 (Avg Bid)
    $68 Média
    5 ofertas

    This project requires basic knowledge of digital electronics and VHDL coding.

    $13 / hr (Avg Bid)
    $13 / hr Média
    12 ofertas

    Need someone expert in Digital Electronics and VHDL programming. More details will be shared in private chat.

    $20 - $67
    Secreto
    $20 - $67
    3 ofertas

    Need someone expert in Digital Electronics and VHDL programming. More details will be shared in private chat.

    $7 - $40
    Secreto
    $7 - $40
    4 ofertas

    Need someone expert in Digital Electronics and VHDL programming. More details will be shared in private chat.

    $7 - $40
    Secreto
    $7 - $40
    7 ofertas

    Need someone expert in Digital Electronics and VHDL programming. More details will be shared in private chat.

    $7 - $33
    Secreto
    $7 - $33
    4 ofertas

    Professional and proficient in the following areas Boolean Algebra and Logic Design  Number systems  Basic Theorems of Boolean Algebra  Canonical and Standard Forms  Logic Gate Implementations and Characteristics: ...Logic  Latches  Flip Flops  Finite-State Machine (FSM) Model  Synthesis and Analysis  Designing State Machines using State Diagrams  Designing State Machines using ASM (Algorithmic State Machine) Charts  State Minimisation, Optimisation and Timing. Hardware Description Languages (VHDL)  Combinatorial descriptions  Delta Delays  VHDL hierarchy (Entities, modules, instantiation)  Language constructs (conditional assignment, selected assignment)  Synchronous descriptions (processes, if, case)  VHDL test benches  Synthesis considerations

    $15 (Avg Bid)
    $15 Média
    10 ofertas

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C , C++

    $17025 (Avg Bid)
    $17025 Média
    12 ofertas
    Help with VHDL Encerrado left

    ...bypassing mechanisms. The project now has been implemented using a supermodular approach where I have tried to make the VHDL codes for the smallest units and then built them upwards in the schematic. I will need the schematic of the pipelined dlx too. here is the drive link with all the files for your reference I have a certain benchmark to run which i will share once i get to design it but the i will need the isim simulations of the entire processor as a proof that everything works. If there are any other further questions do not hesitate to contact me. If you need me to do some bits and pieces i could do that too. I want to be involved in this as I would like to complete the project that i started and some

    $140 (Avg Bid)
    $140 Média
    3 ofertas

    hi there i need an electrical or electronics engineer who is expert in VHDL AND RISC-V for simple task more details will be shared with the suitable candidates in the inbox regards

    $30 - $250
    Secreto
    $30 - $250
    7 ofertas

    hi there i need an electrical or electronics engineer who is expert in VHDL AND RISC-V for simple task more details will be shared with the suitable candidates in the inbox regards

    $30 - $250
    Secreto
    $30 - $250
    4 ofertas

    hello, please contact me if you are proficient in the fields above

    $200 (Avg Bid)
    $200 Média
    3 ofertas

    Assalam o alaikum !!! We are looking for electrical engineers to join our team and work on different projects related to following domains of electrical engineering: 1) Control System 2) Satellite communicati...related to following domains of electrical engineering: 1) Control System 2) Satellite communication 3) Radio frequency and microwave circuit design 4) VLSI techniques 5) Radar theory and satellite communication 6) Intelligent and adaptive systems 7) Digital design 8) Asic design Freelancers must be proficient in following: 1) Matlab / Simulink 2) Proteus 3) Multisim 4) pspice 5) LTspice 6) VHDL/Verilog coding What I am expecting: 1. Dedication to the work 2. On time delivery of work without any delay 3. Well arranged and properly formatted reports with plagiarism count...

    $154 (Avg Bid)
    $154 Média
    11 ofertas

    We are looking for electrical and electronics engineers with good experience in following areas: • Embedded C Programming. • VHDL/Verilog, LabVIEW/ Multisim/PSPICE • Network Simulator NS2/NS3 • Microcontroller like Arduino, Raspberry Pi, FPGA, AVR, PIC and STM32. • IDEs like Keil MDK V5, ATmel studio and MPLab XC8. • PLCs / SCADA • PCB Designing-Proteus, Eagle. • IOT Technologies like Ethernet, GSM GPRS. • HTTP Restful APIs connection for IOT Communications. Feel free to place your bid and mention your areas of expertise in your proposal. we highly encourage new freelancers to apply for this post.

    $166 (Avg Bid)
    $166 Média
    22 ofertas

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C , C++

    $4634 (Avg Bid)
    $4634 Média
    7 ofertas
    Labwork assistance Encerrado left

    I have a few labs im struggling with and they all follow one another. It requires VHDL, RARS and Ripes. Please contact me so I can show you the details and so we can get started on this. Thanks!

    $158 (Avg Bid)
    $158 Média
    7 ofertas

    Verilog/VhDL FPGA Asic Electronics Microcontroller

    $88 (Avg Bid)
    $88 Média
    15 ofertas

    Hey I need someone who knows how to deal with integrated circuit design and vhdl

    $18 / hr (Avg Bid)
    $18 / hr Média
    11 ofertas

    Hi, just to make sure. Do you have the Nexys 4 DDR board and vivado 2020.1 installed? Also, Do you have knowledge of multithreaded OS, in particular FreeRTOS? detail will be share in chat box

    $272 (Avg Bid)
    $272 Média
    4 ofertas

    Hi, just to make sure. Do you have the Nexys 4 DDR board and vivado 2020.1 installed? Also, Do you have knowledge of multithreaded OS, in particular FreeRTOS?

    $110 (Avg Bid)
    $110 Média
    3 ofertas

    design a single cycle mips proccessor computer Architecture vhdl

    $150 (Avg Bid)
    $150 Média
    10 ofertas

    Knowledge in integrated circuit design and vhdl

    $15 / hr (Avg Bid)
    $15 / hr Média
    8 ofertas

    Hi Muhammad Usman A., I noticed your profile and would like to offer you my vhdl vivado project. We can discuss any details over chat.

    $15 (Avg Bid)
    $15 Média
    1 ofertas

    Hi Haider A., I noticed your profile and would like to offer you my vivado vhdl project. We can discuss any details over chat.

    $15 (Avg Bid)
    $15 Média
    1 ofertas